Submit
Path:
~
/
/
usr
/
share
/
grafana
/
public
/
lib
/
monaco
/
min
/
vs
/
basic-languages
/
systemverilog
/
File Content:
systemverilog.js.map
{"version":3,"sources":["webpack://grafana/../lib/monaco/min/vs/basic-languages/systemverilog/systemverilog.js"],"sourcesContent":["\"use strict\";/*!-----------------------------------------------------------------------------\n * Copyright (c) Microsoft Corporation. All rights reserved.\n * Version: 0.34.0(9d278685b078158491964f8fd7ac9628fffa0f30)\n * Released under the MIT license\n * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt\n *-----------------------------------------------------------------------------*/\ndefine(\"vs/basic-languages/systemverilog/systemverilog\", [\"require\",\"require\"],(require)=>{\nvar moduleExports=(()=>{var r=Object.defineProperty;var s=Object.getOwnPropertyDescriptor;var a=Object.getOwnPropertyNames;var c=Object.prototype.hasOwnProperty;var d=(n,e)=>{for(var t in e)r(n,t,{get:e[t],enumerable:!0})},l=(n,e,t,o)=>{if(e&&typeof e==\"object\"||typeof e==\"function\")for(let i of a(e))!c.call(n,i)&&i!==t&&r(n,i,{get:()=>e[i],enumerable:!(o=s(e,i))||o.enumerable});return n};var p=n=>l(r({},\"__esModule\",{value:!0}),n);var f={};d(f,{conf:()=>u,language:()=>m});var u={comments:{lineComment:\"//\",blockComment:[\"/*\",\"*/\"]},brackets:[[\"{\",\"}\"],[\"[\",\"]\"],[\"(\",\")\"],[\"begin\",\"end\"],[\"case\",\"endcase\"],[\"casex\",\"endcase\"],[\"casez\",\"endcase\"],[\"checker\",\"endchecker\"],[\"class\",\"endclass\"],[\"clocking\",\"endclocking\"],[\"config\",\"endconfig\"],[\"function\",\"endfunction\"],[\"generate\",\"endgenerate\"],[\"group\",\"endgroup\"],[\"interface\",\"endinterface\"],[\"module\",\"endmodule\"],[\"package\",\"endpackage\"],[\"primitive\",\"endprimitive\"],[\"program\",\"endprogram\"],[\"property\",\"endproperty\"],[\"specify\",\"endspecify\"],[\"sequence\",\"endsequence\"],[\"table\",\"endtable\"],[\"task\",\"endtask\"]],autoClosingPairs:[{open:\"[\",close:\"]\"},{open:\"{\",close:\"}\"},{open:\"(\",close:\")\"},{open:\"'\",close:\"'\",notIn:[\"string\",\"comment\"]},{open:'\"',close:'\"',notIn:[\"string\"]}],surroundingPairs:[{open:\"{\",close:\"}\"},{open:\"[\",close:\"]\"},{open:\"(\",close:\")\"},{open:'\"',close:'\"'},{open:\"'\",close:\"'\"}],folding:{offSide:!1,markers:{start:new RegExp(\"^(?:\\\\s*|.*(?!\\\\/[\\\\/\\\\*])[^\\\\w])(?:begin|case(x|z)?|class|clocking|config|covergroup|function|generate|interface|module|package|primitive|property|program|sequence|specify|table|task)\\\\b\"),end:new RegExp(\"^(?:\\\\s*|.*(?!\\\\/[\\\\/\\\\*])[^\\\\w])(?:end|endcase|endclass|endclocking|endconfig|endgroup|endfunction|endgenerate|endinterface|endmodule|endpackage|endprimitive|endproperty|endprogram|endsequence|endspecify|endtable|endtask)\\\\b\")}}},m={defaultToken:\"\",tokenPostfix:\".sv\",brackets:[{token:\"delimiter.curly\",open:\"{\",close:\"}\"},{token:\"delimiter.parenthesis\",open:\"(\",close:\")\"},{token:\"delimiter.square\",open:\"[\",close:\"]\"},{token:\"delimiter.angle\",open:\"<\",close:\">\"}],keywords:[\"accept_on\",\"alias\",\"always\",\"always_comb\",\"always_ff\",\"always_latch\",\"and\",\"assert\",\"assign\",\"assume\",\"automatic\",\"before\",\"begin\",\"bind\",\"bins\",\"binsof\",\"bit\",\"break\",\"buf\",\"bufif0\",\"bufif1\",\"byte\",\"case\",\"casex\",\"casez\",\"cell\",\"chandle\",\"checker\",\"class\",\"clocking\",\"cmos\",\"config\",\"const\",\"constraint\",\"context\",\"continue\",\"cover\",\"covergroup\",\"coverpoint\",\"cross\",\"deassign\",\"default\",\"defparam\",\"design\",\"disable\",\"dist\",\"do\",\"edge\",\"else\",\"end\",\"endcase\",\"endchecker\",\"endclass\",\"endclocking\",\"endconfig\",\"endfunction\",\"endgenerate\",\"endgroup\",\"endinterface\",\"endmodule\",\"endpackage\",\"endprimitive\",\"endprogram\",\"endproperty\",\"endspecify\",\"endsequence\",\"endtable\",\"endtask\",\"enum\",\"event\",\"eventually\",\"expect\",\"export\",\"extends\",\"extern\",\"final\",\"first_match\",\"for\",\"force\",\"foreach\",\"forever\",\"fork\",\"forkjoin\",\"function\",\"generate\",\"genvar\",\"global\",\"highz0\",\"highz1\",\"if\",\"iff\",\"ifnone\",\"ignore_bins\",\"illegal_bins\",\"implements\",\"implies\",\"import\",\"incdir\",\"include\",\"initial\",\"inout\",\"input\",\"inside\",\"instance\",\"int\",\"integer\",\"interconnect\",\"interface\",\"intersect\",\"join\",\"join_any\",\"join_none\",\"large\",\"let\",\"liblist\",\"library\",\"local\",\"localparam\",\"logic\",\"longint\",\"macromodule\",\"matches\",\"medium\",\"modport\",\"module\",\"nand\",\"negedge\",\"nettype\",\"new\",\"nexttime\",\"nmos\",\"nor\",\"noshowcancelled\",\"not\",\"notif0\",\"notif1\",\"null\",\"or\",\"output\",\"package\",\"packed\",\"parameter\",\"pmos\",\"posedge\",\"primitive\",\"priority\",\"program\",\"property\",\"protected\",\"pull0\",\"pull1\",\"pulldown\",\"pullup\",\"pulsestyle_ondetect\",\"pulsestyle_onevent\",\"pure\",\"rand\",\"randc\",\"randcase\",\"randsequence\",\"rcmos\",\"real\",\"realtime\",\"ref\",\"reg\",\"reject_on\",\"release\",\"repeat\",\"restrict\",\"return\",\"rnmos\",\"rpmos\",\"rtran\",\"rtranif0\",\"rtranif1\",\"s_always\",\"s_eventually\",\"s_nexttime\",\"s_until\",\"s_until_with\",\"scalared\",\"sequence\",\"shortint\",\"shortreal\",\"showcancelled\",\"signed\",\"small\",\"soft\",\"solve\",\"specify\",\"specparam\",\"static\",\"string\",\"strong\",\"strong0\",\"strong1\",\"struct\",\"super\",\"supply0\",\"supply1\",\"sync_accept_on\",\"sync_reject_on\",\"table\",\"tagged\",\"task\",\"this\",\"throughout\",\"time\",\"timeprecision\",\"timeunit\",\"tran\",\"tranif0\",\"tranif1\",\"tri\",\"tri0\",\"tri1\",\"triand\",\"trior\",\"trireg\",\"type\",\"typedef\",\"union\",\"unique\",\"unique0\",\"unsigned\",\"until\",\"until_with\",\"untyped\",\"use\",\"uwire\",\"var\",\"vectored\",\"virtual\",\"void\",\"wait\",\"wait_order\",\"wand\",\"weak\",\"weak0\",\"weak1\",\"while\",\"wildcard\",\"wire\",\"with\",\"within\",\"wor\",\"xnor\",\"xor\"],builtin_gates:[\"and\",\"nand\",\"nor\",\"or\",\"xor\",\"xnor\",\"buf\",\"not\",\"bufif0\",\"bufif1\",\"notif1\",\"notif0\",\"cmos\",\"nmos\",\"pmos\",\"rcmos\",\"rnmos\",\"rpmos\",\"tran\",\"tranif1\",\"tranif0\",\"rtran\",\"rtranif1\",\"rtranif0\"],operators:[\"=\",\"+=\",\"-=\",\"*=\",\"/=\",\"%=\",\"&=\",\"|=\",\"^=\",\"<<=\",\">>+\",\"<<<=\",\">>>=\",\"?\",\":\",\"+\",\"-\",\"!\",\"~\",\"&\",\"~&\",\"|\",\"~|\",\"^\",\"~^\",\"^~\",\"+\",\"-\",\"*\",\"/\",\"%\",\"==\",\"!=\",\"===\",\"!==\",\"==?\",\"!=?\",\"&&\",\"||\",\"**\",\"<\",\"<=\",\">\",\">=\",\"&\",\"|\",\"^\",\">>\",\"<<\",\">>>\",\"<<<\",\"++\",\"--\",\"->\",\"<->\",\"inside\",\"dist\",\"::\",\"+:\",\"-:\",\"*>\",\"&&&\",\"|->\",\"|=>\",\"#=#\"],symbols:/[=><!~?:&|+\\-*\\/\\^%#]+/,escapes:/%%|\\\\(?:[antvf\\\\\"']|x[0-9A-Fa-f]{1,2}|[0-7]{1,3})/,identifier:/(?:[a-zA-Z_][a-zA-Z0-9_$\\.]*|\\\\\\S+ )/,systemcall:/[$][a-zA-Z0-9_]+/,timeunits:/s|ms|us|ns|ps|fs/,tokenizer:{root:[[/^(\\s*)(@identifier)/,[\"\",{cases:{\"@builtin_gates\":{token:\"keyword.$2\",next:\"@module_instance\"},table:{token:\"keyword.$2\",next:\"@table\"},\"@keywords\":{token:\"keyword.$2\"},\"@default\":{token:\"identifier\",next:\"@module_instance\"}}}]],[/^\\s*`include/,{token:\"keyword.directive.include\",next:\"@include\"}],[/^\\s*`\\s*\\w+/,\"keyword\"],{include:\"@identifier_or_keyword\"},{include:\"@whitespace\"},[/\\(\\*.*\\*\\)/,\"annotation\"],[/@systemcall/,\"variable.predefined\"],[/[{}()\\[\\]]/,\"@brackets\"],[/[<>](?!@symbols)/,\"@brackets\"],[/@symbols/,{cases:{\"@operators\":\"delimiter\",\"@default\":\"\"}}],{include:\"@numbers\"},[/[;,.]/,\"delimiter\"],{include:\"@strings\"}],identifier_or_keyword:[[/@identifier/,{cases:{\"@keywords\":{token:\"keyword.$0\"},\"@default\":\"identifier\"}}]],numbers:[[/\\d+?[\\d_]*(?:\\.[\\d_]+)?[eE][\\-+]?\\d+/,\"number.float\"],[/\\d+?[\\d_]*\\.[\\d_]+(?:\\s*@timeunits)?/,\"number.float\"],[/(?:\\d+?[\\d_]*\\s*)?'[sS]?[dD]\\s*[0-9xXzZ?]+?[0-9xXzZ?_]*/,\"number\"],[/(?:\\d+?[\\d_]*\\s*)?'[sS]?[bB]\\s*[0-1xXzZ?]+?[0-1xXzZ?_]*/,\"number.binary\"],[/(?:\\d+?[\\d_]*\\s*)?'[sS]?[oO]\\s*[0-7xXzZ?]+?[0-7xXzZ?_]*/,\"number.octal\"],[/(?:\\d+?[\\d_]*\\s*)?'[sS]?[hH]\\s*[0-9a-fA-FxXzZ?]+?[0-9a-fA-FxXzZ?_]*/,\"number.hex\"],[/1step/,\"number\"],[/[\\dxXzZ]+?[\\dxXzZ_]*(?:\\s*@timeunits)?/,\"number\"],[/'[01xXzZ]+/,\"number\"]],module_instance:[{include:\"@whitespace\"},[/(#?)(\\()/,[\"\",{token:\"@brackets\",next:\"@port_connection\"}]],[/@identifier\\s*[;={}\\[\\],]/,{token:\"@rematch\",next:\"@pop\"}],[/@symbols|[;={}\\[\\],]/,{token:\"@rematch\",next:\"@pop\"}],[/@identifier/,\"type\"],[/;/,\"delimiter\",\"@pop\"]],port_connection:[{include:\"@identifier_or_keyword\"},{include:\"@whitespace\"},[/@systemcall/,\"variable.predefined\"],{include:\"@numbers\"},{include:\"@strings\"},[/[,]/,\"delimiter\"],[/\\(/,\"@brackets\",\"@port_connection\"],[/\\)/,\"@brackets\",\"@pop\"]],whitespace:[[/[ \\t\\r\\n]+/,\"\"],[/\\/\\*/,\"comment\",\"@comment\"],[/\\/\\/.*$/,\"comment\"]],comment:[[/[^\\/*]+/,\"comment\"],[/\\*\\//,\"comment\",\"@pop\"],[/[\\/*]/,\"comment\"]],strings:[[/\"([^\"\\\\]|\\\\.)*$/,\"string.invalid\"],[/\"/,\"string\",\"@string\"]],string:[[/[^\\\\\"]+/,\"string\"],[/@escapes/,\"string.escape\"],[/\\\\./,\"string.escape.invalid\"],[/\"/,\"string\",\"@pop\"]],include:[[/(\\s*)(\")([\\w*\\/*]*)(.\\w*)(\")/,[\"\",\"string.include.identifier\",\"string.include.identifier\",\"string.include.identifier\",{token:\"string.include.identifier\",next:\"@pop\"}]],[/(\\s*)(<)([\\w*\\/*]*)(.\\w*)(>)/,[\"\",\"string.include.identifier\",\"string.include.identifier\",\"string.include.identifier\",{token:\"string.include.identifier\",next:\"@pop\"}]]],table:[{include:\"@whitespace\"},[/[()]/,\"@brackets\"],[/[:;]/,\"delimiter\"],[/[01\\-*?xXbBrRfFpPnN]/,\"variable.predefined\"],[\"endtable\",\"keyword.endtable\",\"@pop\"]]}};return p(f);})();\nreturn moduleExports;\n});\n"],"mappings":"aAAa;AAAA;AAAA;AAAA;AAAA;AAAA,gFAMb,OAAO,iDAAkD,CAAC,UAAU,SAAS,EAAGA,GAAU,CAC1F,IAAIC,GAAe,IAAI,CAAC,IAAI,EAAE,OAAO,eAAmBC,EAAE,OAAO,yBAA6BC,EAAE,OAAO,oBAAwBC,EAAE,OAAO,UAAU,eAAmBC,EAAE,CAAC,EAAE,IAAI,CAAC,QAAQC,KAAK,EAAE,EAAE,EAAEA,EAAE,CAAC,IAAI,EAAEA,CAAC,EAAE,WAAW,EAAE,CAAC,CAAC,EAAEC,EAAE,CAAC,EAAE,EAAED,EAAE,IAAI,CAAC,GAAG,GAAG,OAAO,GAAG,UAAU,OAAO,GAAG,WAAW,QAAQE,KAAKL,EAAE,CAAC,EAAE,CAACC,EAAE,KAAK,EAAEI,CAAC,GAAGA,IAAIF,GAAG,EAAE,EAAEE,EAAE,CAAC,IAAI,IAAI,EAAEA,CAAC,EAAE,WAAW,EAAE,EAAEN,EAAE,EAAEM,CAAC,IAAI,EAAE,UAAU,CAAC,EAAE,OAAO,CAAC,EAAMC,EAAE,GAAGF,EAAE,EAAE,CAAC,EAAE,aAAa,CAAC,MAAM,EAAE,CAAC,EAAE,CAAC,EAAMG,EAAE,CAAC,EAAEL,EAAEK,EAAE,CAAC,KAAK,IAAIC,EAAE,SAAS,IAAIC,CAAC,CAAC,EAAE,IAAID,EAAE,CAAC,SAAS,CAAC,YAAY,KAAK,aAAa,CAAC,KAAK,IAAI,CAAC,EAAE,SAAS,CAAC,CAAC,IAAI,GAAG,EAAE,CAAC,IAAI,GAAG,EAAE,CAAC,IAAI,GAAG,EAAE,CAAC,QAAQ,KAAK,EAAE,CAAC,OAAO,SAAS,EAAE,CAAC,QAAQ,SAAS,EAAE,CAAC,QAAQ,SAAS,EAAE,CAAC,UAAU,YAAY,EAAE,CAAC,QAAQ,UAAU,EAAE,CAAC,WAAW,aAAa,EAAE,CAAC,SAAS,WAAW,EAAE,CAAC,WAAW,aAAa,EAAE,CAAC,WAAW,aAAa,EAAE,CAAC,QAAQ,UAAU,EAAE,CAAC,YAAY,cAAc,EAAE,CAAC,SAAS,WAAW,EAAE,CAAC,UAAU,YAAY,EAAE,CAAC,YAAY,cAAc,EAAE,CAAC,UAAU,YAAY,EAAE,CAAC,WAAW,aAAa,EAAE,CAAC,UAAU,YAAY,EAAE,CAAC,WAAW,aAAa,EAAE,CAAC,QAAQ,UAAU,EAAE,CAAC,OAAO,SAAS,CAAC,EAAE,iBAAiB,CAAC,CAAC,KAAK,IAAI,MAAM,GAAG,EAAE,CAAC,KAAK,IAAI,MAAM,GAAG,EAAE,CAAC,KAAK,IAAI,MAAM,GAAG,EAAE,CAAC,KAAK,IAAI,MAAM,IAAI,MAAM,CAAC,SAAS,SAAS,CAAC,EAAE,CAAC,KAAK,IAAI,MAAM,IAAI,MAAM,CAAC,QAAQ,CAAC,CAAC,EAAE,iBAAiB,CAAC,CAAC,KAAK,IAAI,MAAM,GAAG,EAAE,CAAC,KAAK,IAAI,MAAM,GAAG,EAAE,CAAC,KAAK,IAAI,MAAM,GAAG,EAAE,CAAC,KAAK,IAAI,MAAM,GAAG,EAAE,CAAC,KAAK,IAAI,MAAM,GAAG,CAAC,EAAE,QAAQ,CAAC,QAAQ,GAAG,QAAQ,CAAC,MAAM,IAAI,OAAO,6LAA6L,EAAE,IAAI,IAAI,OAAO,mOAAmO,CAAC,CAAC,CAAC,EAAEC,EAAE,CAAC,aAAa,GAAG,aAAa,MAAM,SAAS,CAAC,CAAC,MAAM,kBAAkB,KAAK,IAAI,MAAM,GAAG,EAAE,CAAC,MAAM,wBAAwB,KAAK,IAAI,MAAM,GAAG,EAAE,CAAC,MAAM,mBAAmB,KAAK,IAAI,MAAM,GAAG,EAAE,CAAC,MAAM,kBAAkB,KAAK,IAAI,MAAM,GAAG,CAAC,EAAE,SAAS,CAAC,YAAY,QAAQ,SAAS,cAAc,YAAY,eAAe,MAAM,SAAS,SAAS,SAAS,YAAY,SAAS,QAAQ,OAAO,OAAO,SAAS,MAAM,QAAQ,MAAM,SAAS,SAAS,OAAO,OAAO,QAAQ,QAAQ,OAAO,UAAU,UAAU,QAAQ,WAAW,OAAO,SAAS,QAAQ,aAAa,UAAU,WAAW,QAAQ,aAAa,aAAa,QAAQ,WAAW,UAAU,WAAW,SAAS,UAAU,OAAO,KAAK,OAAO,OAAO,MAAM,UAAU,aAAa,WAAW,cAAc,YAAY,cAAc,cAAc,WAAW,eAAe,YAAY,aAAa,eAAe,aAAa,cAAc,aAAa,cAAc,WAAW,UAAU,OAAO,QAAQ,aAAa,SAAS,SAAS,UAAU,SAAS,QAAQ,cAAc,MAAM,QAAQ,UAAU,UAAU,OAAO,WAAW,WAAW,WAAW,SAAS,SAAS,SAAS,SAAS,KAAK,MAAM,SAAS,cAAc,eAAe,aAAa,UAAU,SAAS,SAAS,UAAU,UAAU,QAAQ,QAAQ,SAAS,WAAW,MAAM,UAAU,eAAe,YAAY,YAAY,OAAO,WAAW,YAAY,QAAQ,MAAM,UAAU,UAAU,QAAQ,aAAa,QAAQ,UAAU,cAAc,UAAU,SAAS,UAAU,SAAS,OAAO,UAAU,UAAU,MAAM,WAAW,OAAO,MAAM,kBAAkB,MAAM,SAAS,SAAS,OAAO,KAAK,SAAS,UAAU,SAAS,YAAY,OAAO,UAAU,YAAY,WAAW,UAAU,WAAW,YAAY,QAAQ,QAAQ,WAAW,SAAS,sBAAsB,qBAAqB,OAAO,OAAO,QAAQ,WAAW,eAAe,QAAQ,OAAO,WAAW,MAAM,MAAM,YAAY,UAAU,SAAS,WAAW,SAAS,QAAQ,QAAQ,QAAQ,WAAW,WAAW,WAAW,eAAe,aAAa,UAAU,eAAe,WAAW,WAAW,WAAW,YAAY,gBAAgB,SAAS,QAAQ,OAAO,QAAQ,UAAU,YAAY,SAAS,SAAS,SAAS,UAAU,UAAU,SAAS,QAAQ,UAAU,UAAU,iBAAiB,iBAAiB,QAAQ,SAAS,OAAO,OAAO,aAAa,OAAO,gBAAgB,WAAW,OAAO,UAAU,UAAU,MAAM,OAAO,OAAO,SAAS,QAAQ,SAAS,OAAO,UAAU,QAAQ,SAAS,UAAU,WAAW,QAAQ,aAAa,UAAU,MAAM,QAAQ,MAAM,WAAW,UAAU,OAAO,OAAO,aAAa,OAAO,OAAO,QAAQ,QAAQ,QAAQ,WAAW,OAAO,OAAO,SAAS,MAAM,OAAO,KAAK,EAAE,cAAc,CAAC,MAAM,OAAO,MAAM,KAAK,MAAM,OAAO,MAAM,MAAM,SAAS,SAAS,SAAS,SAAS,OAAO,OAAO,OAAO,QAAQ,QAAQ,QAAQ,OAAO,UAAU,UAAU,QAAQ,WAAW,UAAU,EAAE,UAAU,CAAC,IAAI,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,KAAK,MAAM,MAAM,OAAO,OAAO,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,IAAI,KAAK,IAAI,KAAK,KAAK,IAAI,IAAI,IAAI,IAAI,IAAI,KAAK,KAAK,MAAM,MAAM,MAAM,MAAM,KAAK,KAAK,KAAK,IAAI,KAAK,IAAI,KAAK,IAAI,IAAI,IAAI,KAAK,KAAK,MAAM,MAAM,KAAK,KAAK,KAAK,MAAM,SAAS,OAAO,KAAK,KAAK,KAAK,KAAK,MAAM,MAAM,MAAM,KAAK,EAAE,QAAQ,yBAAyB,QAAQ,oDAAoD,WAAW,uCAAuC,WAAW,mBAAmB,UAAU,mBAAmB,UAAU,CAAC,KAAK,CAAC,CAAC,sBAAsB,CAAC,GAAG,CAAC,MAAM,CAAC,iBAAiB,CAAC,MAAM,aAAa,KAAK,kBAAkB,EAAE,MAAM,CAAC,MAAM,aAAa,KAAK,QAAQ,EAAE,YAAY,CAAC,MAAM,YAAY,EAAE,WAAW,CAAC,MAAM,aAAa,KAAK,kBAAkB,CAAC,CAAC,CAAC,CAAC,EAAE,CAAC,eAAe,CAAC,MAAM,4BAA4B,KAAK,UAAU,CAAC,EAAE,CAAC,cAAc,SAAS,EAAE,CAAC,QAAQ,wBAAwB,EAAE,CAAC,QAAQ,aAAa,EAAE,CAAC,aAAa,YAAY,EAAE,CAAC,cAAc,qBAAqB,EAAE,CAAC,aAAa,WAAW,EAAE,CAAC,mBAAmB,WAAW,EAAE,CAAC,WAAW,CAAC,MAAM,CAAC,aAAa,YAAY,WAAW,EAAE,CAAC,CAAC,EAAE,CAAC,QAAQ,UAAU,EAAE,CAAC,QAAQ,WAAW,EAAE,CAAC,QAAQ,UAAU,CAAC,EAAE,sBAAsB,CAAC,CAAC,cAAc,CAAC,MAAM,CAAC,YAAY,CAAC,MAAM,YAAY,EAAE,WAAW,YAAY,CAAC,CAAC,CAAC,EAAE,QAAQ,CAAC,CAAC,uCAAuC,cAAc,EAAE,CAAC,uCAAuC,cAAc,EAAE,CAAC,0DAA0D,QAAQ,EAAE,CAAC,0DAA0D,eAAe,EAAE,CAAC,0DAA0D,cAAc,EAAE,CAAC,sEAAsE,YAAY,EAAE,CAAC,QAAQ,QAAQ,EAAE,CAAC,yCAAyC,QAAQ,EAAE,CAAC,aAAa,QAAQ,CAAC,EAAE,gBAAgB,CAAC,CAAC,QAAQ,aAAa,EAAE,CAAC,WAAW,CAAC,GAAG,CAAC,MAAM,YAAY,KAAK,kBAAkB,CAAC,CAAC,EAAE,CAAC,4BAA4B,CAAC,MAAM,WAAW,KAAK,MAAM,CAAC,EAAE,CAAC,uBAAuB,CAAC,MAAM,WAAW,KAAK,MAAM,CAAC,EAAE,CAAC,cAAc,MAAM,EAAE,CAAC,IAAI,YAAY,MAAM,CAAC,EAAE,gBAAgB,CAAC,CAAC,QAAQ,wBAAwB,EAAE,CAAC,QAAQ,aAAa,EAAE,CAAC,cAAc,qBAAqB,EAAE,CAAC,QAAQ,UAAU,EAAE,CAAC,QAAQ,UAAU,EAAE,CAAC,MAAM,WAAW,EAAE,CAAC,KAAK,YAAY,kBAAkB,EAAE,CAAC,KAAK,YAAY,MAAM,CAAC,EAAE,WAAW,CAAC,CAAC,aAAa,EAAE,EAAE,CAAC,OAAO,UAAU,UAAU,EAAE,CAAC,UAAU,SAAS,CAAC,EAAE,QAAQ,CAAC,CAAC,UAAU,SAAS,EAAE,CAAC,OAAO,UAAU,MAAM,EAAE,CAAC,QAAQ,SAAS,CAAC,EAAE,QAAQ,CAAC,CAAC,kBAAkB,gBAAgB,EAAE,CAAC,IAAI,SAAS,SAAS,CAAC,EAAE,OAAO,CAAC,CAAC,UAAU,QAAQ,EAAE,CAAC,WAAW,eAAe,EAAE,CAAC,MAAM,uBAAuB,EAAE,CAAC,IAAI,SAAS,MAAM,CAAC,EAAE,QAAQ,CAAC,CAAC,+BAA+B,CAAC,GAAG,4BAA4B,4BAA4B,4BAA4B,CAAC,MAAM,4BAA4B,KAAK,MAAM,CAAC,CAAC,EAAE,CAAC,+BAA+B,CAAC,GAAG,4BAA4B,4BAA4B,4BAA4B,CAAC,MAAM,4BAA4B,KAAK,MAAM,CAAC,CAAC,CAAC,EAAE,MAAM,CAAC,CAAC,QAAQ,aAAa,EAAE,CAAC,OAAO,WAAW,EAAE,CAAC,OAAO,WAAW,EAAE,CAAC,uBAAuB,qBAAqB,EAAE,CAAC,WAAW,mBAAmB,MAAM,CAAC,CAAC,CAAC,EAAE,OAAOH,EAAEC,CAAC,CAAE,GAAG,EACnvP,OAAOT,CACP,CAAC","names":["require","moduleExports","s","a","c","d","t","l","i","p","f","u","m"],"sourceRoot":"","file":"../lib/monaco/min/vs/basic-languages/systemverilog/systemverilog.js"}
Edit
Rename
Chmod
Delete
FILE
FOLDER
INFO
Name
Size
Permission
Action
systemverilog.js
8418 bytes
0644
systemverilog.js.map
15671 bytes
0644
N4ST4R_ID | Naxtarrr